资讯中心

Cadence 推出开拓性的 Virtuoso Studio,以人工智能为助力,开启模拟、定制和 RFIC 设计的未来

内容提要 ● 这是一个业界用于打造差异化定制芯片的领先平台,可借助生成式 AI 技术显著提升设计生产力 ● Virtuoso Studio 与 Cadence 最前沿的技术和最新的底层架构集成,助力设计工程师在半导体和 3D-IC 设计方面取得新突破● 依托 30 年来在全线工艺技术方面取得的领先地位,将大型设计的生产力提升 3 倍,助力塑造未来格局 中国上海,2023 年 4 月 20 日——楷

详细资料»
Cadence 推出 EMX Designer,在片上无源元件综合上提供超过 10 倍的性能提升

新产品 EMX Designer 可用于一系列无源器件的综合,与其他解决方案相比,速度显著提升。   中国上海,2023 年 4 月 14 日——楷登电子(美国 Cadence 公司,NASDAQ:CDNS)今日宣布推出新产品 Cadence® EMX® Designer,这是一项无源器件综合和优化技术,可在几秒钟内提供通过设计规则检查(DRC)的参数化单元(PCell)和无源器件的精确电磁(EM

详细资料»
Cadence加强其Tensilica Vision和AI软件合作伙伴生态,为先进的汽车、移动、消费和物联网应用提供更好的支持

新加入的生态系统成员包括 Kudan 和 Visionary.ai,有助于快速部署高性能、高能效的基于 SLAM 和 AI ISP 的解决方案 中国上海,2023 年 4 月 12 日——楷登电子(美国 Cadence 公司,NASDAQ:CDNS)今日宣布欢迎 Kudan 和 Visionary.ai 加入 Tensilica 软件合作伙伴生态系统,他们将为 Cadence® Tensilica

详细资料»
Cadence 推出 Allegro X AI,旨在加速 PCB 设计流程,可将周转时间缩短 10 倍以上

Allegro X AI 可自动执行 PCB 布局设计和小至中型 PCB 布线设计,将物理布局布线和分析用时从数天缩短至几分钟。 中国上海,2023 年 4 月 7 日——楷登电子(美国 Cadence 公司,NASDAQ:CDNS)今日宣布推出 Cadence® Allegro® X AI technology,这是 Cadence 新一代系统设计技术,在性能和自动化方面实现了革命性的提升。这款

详细资料»
Cadence Verisium 验证平台以 AI 助力瑞萨电子提高纠错效率

瑞萨电子采用 Verisium 平台和应用程序,可针对 R-Car SoC 汽车应用设计中的特定错误,将纠错效率提升 6 倍 中国上海,2023 年 3 月 10 日 —— 楷登电子(美国 Cadence 公司,NASDAQ:CDNS)今日宣布,瑞萨电子(Renesas Electronics)已采用全新的人工智能(AI)驱动的 Cadence® Verisium™ 验证平台,实现更高效的错误根本

详细资料»
Cadence 推出 13 款新 VIP 并扩展了系统 VIP 产品组合,以加速汽车、超大规模数据中心和移动 SoC 验证

新增加的产品将助力实现全面快速验证,确保 SoC 符合最新标准规范 中国上海,2023 年 2 月 22 日 —— 楷登电子(美国 Cadence 公司,NASDAQ:CDNS)近日宣布推出 13 种新的验证 IP(VIP)解决方案,助力工程师迅速有效地验证设计,以满足最新标准协议的要求。新款 Cadence® VIP 产品使客户能够信心十足地开发新一代汽车、超大规模数据中心和移动 SoC,同时紧

详细资料»
【成都线下】报名重启!2023 Cadence 中国技术巡回研讨会 — 成都站

电子设计自动化领域领先的供应商 Cadence,诚邀您参加“2023 Cadence 中国技术巡回研讨会”,会议将集聚 Cadence 的开发者与 Cadence 资深技术专家,涵盖完整的先进技术交流平台,包括定制 / 模拟设计解决方案,数字设计与签核全流程的解决方案以及系统验证及 IC 验证系列产品的最新技术及研发进展。您也将有机会和开发 Cadence 工具的技术专家们面对面地直接沟通。 Ca

详细资料»
3D场求解器Cadence Quantus FS解决方案通过Samsung Foundry SF4、SF3E和SF3工艺技术认证

内容提要 Quantus FS 解决方案经过优化,可支持 Samsung Foundry 的先进技术,提供比代工厂标准更高的寄生提取准确度 助力客户使用 Samsung Foundry 的 SF4、SF3E 和 SF3 工艺技术,加快部署 Quantus FS 用于创建库 IP 中国上海,2022 年 2 月 6 日 —— 楷登电子(美国 Cadence 公司,NASDAQ:CDNS)近日宣布,C

详细资料»
创意电子采用 Cadence 数字解决方案完成首款台积电 N3 制程芯片及首款 AI 优化的 N5 制程设计

内容提要 创意电子采用台积电 N3 制程工艺,在 Cadence Innovus 设计实现的助力下,实现运算速度为 3.16GHz 的 HPC 核心设计,其中包含了 350 万个实例 创意电子在台积电 N5 制程上采用了 Cadence Cerebrus AI 解决方案,在 CPU 设计上,成功缩小 9% 的设计面积并降低 8% 的功耗 中国上海,2022 年 2 月 2 日 —— 楷登电子(美国

详细资料»
Cadence 宣布为新一代 AI、汽车和移动应用推出业界一流的 8533Mbps LPDDR5X IP 解决方案

这款完整的高性能解决方案经过硅验证,现已面向客户开放 中国上海,2022 年 12 月 27日 —— 楷登电子(美国 Cadence 公司,NASDAQ:CDNS)近日宣布推出 LPDDR5X 存储器接口 IP 设计,该优化后的设计可达到 8533Mbps 的运行速度,比前一代 LPDDR IP 快 33%。Cadence® LPDDR5X IP 现可供客户使用,它拥有一个新的高性能、可扩展架构,

详细资料»
大势所趋:在汽车设计中融合机械与电子

有人曾说:“机械工程师设计汽车机器,电子工程师设计导航系统,而土木工程师设计道路。”暗示着这些工程学科彼此独立工作。然而,彼此独立地进行设计(至少是对机械和电子工程师来说)越来越难以研发现代产品。机械设计和电子设计正在相互融合,要求对向来彼此独立的设计学科进行多物理场分析。为克服设计难题,工程负责人需要主动地将以上团队团结起来。可以肯定的是,电子系统设计师并不需要设计外壳或机械风扇。但创新型产品要

详细资料»